根据逻辑图 编写EDA不能启动此对象源程序序程序

EDA技术原理图设计方法_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
文档贡献者
评价文档:
喜欢此文档的还喜欢
EDA技术原理图设计方法
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
大小:2.12MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢EDA技术进行数字电路设计
EDA技术进行数字电路设计
下面是 [EDA技术进行数字电路设计]的电路图
  摘要:随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成了。EDA (Electronics Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生一种高级、快速、有效电子设计自动化工具。本文介绍了EDA技术主要特点和功能,并对将EDA技术引入到数字电路设计工作方案进行了探讨。
关键词:EDA;数字电路;特点;功能
EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。EDA是电子设计领域一场革命,它源于计算机辅助设计,计算机辅助制造、计算机辅助测试和计算机辅助工程。利用EDA工具,电子设计师从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成全过程均可在计算机上自动完成。EDA代表了当今电子设计技术最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片适配编译和编程下载,这被称为数字逻辑电路高层次设计方法。
1.1 EDA软件简介
&EDA&就是Electronic Design Automation(电子设计自动化),也就是能够帮助人们设计电子电路或系统软件工具,该工具可以使设计更复杂电路和系统成为可能。目前进入我国并具有广泛影响EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类;其中Protel是国内最流行、使用最广泛一种印制电路板设计首选软件,由澳大利亚protd Technology公司出品,过去只是用来进行原理图输入和PCB版图设计,从Protel 98开始,加入了模拟数字混合电路仿真模块和可编程逻辑器件设计模块,1999年Protel推出了功能更加强大EDA综合设计环境Protel 99,它将EDA全部内容整合为一体,成为完整EDA软件,因而该软件发展潜力很大,但它最具特色和最强大功能仍是原理图输人和PCB版图设计。
1.2 EDA技术主要内容
EDA技术涉及面很广,内容丰富,从教学和实用角度看,主要应掌握如下4个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计载体,硬件描述语言是利用EDA技术进行电子系统设计主要表达手段,软件开发工具是利用EDA技术进行电子系统设计智能化自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计下载工具及硬件验证工具。
1.3 EDA技术主要特征
作为现代电子系统设计主导技术,EDA具有几个明显特征:
1.3.1 用软件设计方法来设计硬件
硬件系统转换是由有关开发软件自动完成,设计输入可以是原理图VHDL语言,通过软件设计方式测试,实现对特定功能硬件电路设计,而硬件设计修改工作也如同修改软件程序一样快捷方便,设计整个过程几乎不涉及任何硬件,可操作性、产品互换性强。
1.3.2 基于芯片设计方法
EDA设计方法又称为基于芯片设计方法,集成化程度更高,可实现片上系统集成,进行更加复杂电路芯片化设计和专用集成电路设计,使产品体积小、功耗低、可靠性高;可在系统编程或现场编程,使器件编程、重构、修改简单便利,可实现在线升级;可进行各种仿真,开发周期短,设计成本低,设计灵活性高。
1.3.3 自动化程度高
EDA技术根据设计输入文件,将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成,自动生成目标系统,使设计人员不必学习许多深入专业知识,也可免除许多推导运算即可获得优化设计成果,设计自动化程度高,减轻了设计人员工作量,开发效率高。
1.3.4 自动进行产品直面设计
EDA技术根据设计输入文件(HDL或电路原理图),自动地进行逻辑编译、化简、综合、仿真、优化、布局、布线、适配以及下载编程以生成目标系统,即将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成;
1.4 EDA技术要点
1.4.1 可编程逻辑器件-PLD
数字逻辑器件发展直接反映了从分立元件、中小规模标准芯片过渡到可编程逻辑器件过程。ISP技术和HDPLD器件使设计人员能够在实验室中方便地开发专用集成数字电路芯片ASIC。当前,国内外许多著名厂商均已开发出新一代ISP器件以及相应开发软件(如Synario、EXPERT、Fundation、MAX Plus2等)。
1.4.2 &自顶而下&设计方法
10年前,电子设计基本思路还是选择标准集成电路&自底向上&(Bottom-Up)地构造出一个新系统。这样设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错,高层次设计给我们提供了一种&自顶向下&(Top-Down)全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层系统进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路网表,其对应物理实现级可以是印刷电路板或专用集成电路,由于设计主要仿真和调试过程是在高层次上完成,这既有利于早期发现结构设计上错误,避免设计工时浪费,同时也减少了逻辑功能仿真工作量,提高了设计一次成功率。
2 数字电路设计
20世纪90年代以来,电子信息类产品开发明显出现两个特点:一是产品复杂程度加深;二是产品上市时限紧迫。随着计算机性价比提高及可编程逻辑器件出现,对传统数字电子系统设计方法进行了解放性革命,现代电子系统设计方法是设计师自己设计芯片来实现电子系统功能,将传统固件选用及电路板设计工作放在芯片设计中进行。然而电路设计本质上是基于门级描述单层次设计(主要以数字电路为主),设计所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行,显然这种设计方法不能适应新形势,为此引入一种高层次电子设计方法,也称为系统设计方法。
数字电路设计性本身就是一种综合性设计,其设计电路中一般包含不同类型电路,在设计过程中,不可避免地存在许多错误和不足 如果直接按照这一设计电路在电路板上进行安装、调试,其结果往往使电路调试费时费力,甚至会引起元器件和仪器设备损坏等问题,导致设计不能达到预期效果。应用EDA技术在仿真软件平台上设计数字电路,能帮助熟悉和掌握最先进电路设计方法和技能。在电子技术高速发展今天,新器件、新电路不断涌现,而设计条件受经费等因素制约,一般不能及时更新。采用软件仿真方法,在计算机上虚拟一个先进测试仪器、元器件品种齐全电子工作台,可进行验证性、测试性、设计性等实验针对性训练,培养使用计算机及分析、应用和创新电路能力。&以仿代实&, &以软代硬&应该成为当代设计发展潮流之一。
3基于EDA技术进行数字电路设计研究
EDA技术在数字系统中应用以基于AlteraEPM7128SLC84-15芯片和MAX PlusII 10.0软件平台数字钟设计为例,讨论EDA技术在数字系统中具体应用。
3.1 EDA技术设计流程
在设计方法上,EDA技术为数字电子电路设计领域带来了根本性变革,将传统&电路设计硬件搭试调试焊接&模式转变为在计算机上自动完成,如图1所示。
图1:设计流程
提示请看下图:
3.2 设计要求
具有时、分、秒、计数显示功能,以24小时循环计时。具有清零和调节小时、分钟功能。具有整点报时功能。
3.3 输入设计源文件
一个设计项目由一个或多个源文件组成,它们可以是原理图文件、硬件描述语言文件、混合输入文件,点击Source/New菜单,选择你所要设计源文件类型,进入设计状态,完成源文件设计,存盘、退出;另在一张原理图编辑器窗口中,通过File/Matching Symbol菜单,建立一张原理图符号,生成一个与原理图文件相同名、相同功能逻辑宏元件,它自动加到元件列表中,可以在更高层图纸中反复调用;
3.3 逻辑编译
逻辑编译选择器件EPM7128SLC84&15,使用MAX PlusⅡ编译器编译设计项目,通过编译器自动进行错误检查、网表提取、逻辑综合、器件适配,最终产生器件编程文件(.jed)。
综合就是利用EDA软件系统综合器将VHDL软件设计与硬件可实现性挂钩,这是将软件转化为硬件电路关键步骤。综合器对源文件综合是针对某一FPGA/CPI D供应商产品系列。因此,综合后结果具有硬件可实现性。EDA提供了良好逻辑综合与优化功能,它能够将设计人员设计逻辑级电路图自动地转换为门级电路,并生成相应网表文件、时序分析文件和各种报表,若设计没有错误,最终可生成可以编程下载.sof文件。
3.5 器件适配
综合通过后必须利用FPGA/CPLD布局/布线适配器将综合后网表文件针对某一具体目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线等操作。适配后产生时序仿真用网表文件和下载文件, 如JED或POF文件。适配对象直接与器件结构细节相对应。
3.6 功能仿真
通常,在设计过程中每一个阶段都要进行仿真验证其正确性。在综合前,要进行行为仿真,将VHDI源程序直接送到VHDI 仿真器中仿真,此时仿真只是根据VHDI 语义进行,与具体电路没有关系。综合后,可利用产生网表文件进行功能仿真,以便了解设计描述与设计意图一致性。功能仿真仅对设计描述逻辑功能进行测试模拟,以了解其实现功能是否满足原设计要求,仿真过程不涉及具体器件硬件特性,如延迟特性。时序仿真根据适配后产生网表文件进行仿真,是接近真实器件运行仿真,仿真过程中已将器件硬件特性考虑进去了,因此仿真精度要高得多。时序仿真网表文件中包含了较为精确延迟信息。
3.7 编程下载
通过仿真确定设计基本成功后,即可通过Byteblaster下载电缆线将设计项目以JTAG方式下载到器件中,完成设计所有工作。通过此例设计流程讲述可知,EDA技术及其工具在数字电路系统(包括模拟电路系统)中正发挥着越来越重要作用,其应用深度和广度正在向更深层次延伸。
3.8 目标系统
用VHDL语言描述编码电路。译码电路用CASE语句完成查表译码,其中有近4O种可能情况。通过求出伴随式值,把有一个错误数据取反纠正过来,其他情况给出信号,指出有错误。编译码电路选用ALTERA公司生产器件EPF1OK10TC144-3,其中编码电路占用了32个逻辑单元,译码电路占用了163个逻辑单元。对编码译码电路做功能仿真。测试使用看来,当数据输人全为&1&,如果总线上传来数据最后一位出错.为&0&,正确数据异或而成数据检查线DC使得译码器能把最后一位改为&1&;如数据输人是&&,编码器DC为&19&而一旦出现两个错误.如最高位和最低位,译码器指示是不可纠正错误;如数据正确传输,译码器指示没有错误。
4 本文作者创新点
目前,现代集成电路技术发展使以现场可编程门阵列为代表大容量可编程逻辑器件等效门数迅速提高,其规模直逼标准门阵列,达到了系统集成水平。特别是进入二十世纪90年代后,随着CPLD、FPGA等现场可编程逻辑器件逐渐兴起,VHDL、Verilog等通用性好、移植性强硬件描述语言普及,ASIC技术不断完善,EDA技术在现代数字系统和微电子技术应用中起着越来越重要作用。从通常意义上来说,现代电子系统设计已经再也离不开EDA技术帮助了。
[1] 王锁萍.龚建荣等.电子设计教程.成都:电子科技大学出版社,2000.2
[2] 潘松,黄蛀生.EDA技术实用教程.北京:科学出版社.2002.10.
[3] 曾繁泰,李冰,李晓林.EDA工程概论[M].北京,清华大学出版社,2002.
[4] 蒋卓勤,邓玉元.Multisim2001及其在电子设计中应用[M].西安:电子科技大学出版社,2003.
[5] 徐志军等.大规模可编程逻辑器件及其应用[M].电子科技大学出版社.(责任编辑:电路图)
------分隔线----------------------------
高速数据应用中ESD抑制技术简介...
电源模块的PCB布局技术...
立体声音响除噪声电路...
等你解决的问题 上传我的文档
 下载
 收藏
如有需要或疑问,请联系站内信或我的QQ:
 下载此文档
正在努力加载中...
基于EDA技术的交通灯设计
下载积分:800
内容提示:毕业设计
基于EDA技术的交通灯设计
文档格式:DOC|
浏览次数:0|
上传日期: 07:02:02|
文档星级:
该用户还上传了这些文档
基于EDA技术的交通灯设计.DOC
官方公共微信EDA简答题答案10
上亿文档资料,等你来发现
EDA简答题答案10
1.1EDA的英文名字是什么?EDA的中文含义是;答:EDA:ElectronicsDesignA;1.2什么叫EDA?利用EDA进行电子系统的设计;答:狭义的EDA技术,就是指以大规模可编程逻辑器;EDA进行电子系统的设计的特点:(1)、用软件的;1.5FPGA和CPLD各包括几个基本组成部分;FPGA在结构上主要分为:可编程逻辑单元、可编程;1.6FPG
1.1EDA的英文名字是什么?EDA的中文含义是什么?答:EDA:Electronics Design Automation
中文含义:电子设计自动化。1.2什么叫EDA?利用EDA进行电子系统的设计的特点是什么?答:狭义的EDA技术,就是指以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统描述的主要表达方式,以计算计、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编辑下载等工作,最终形成集成电子系统或专用集成芯片的一门技术,或称为IES/ASIC自动设计技术。EDA进行电子系统的设计的特点:(1)、用软件的方式设计硬件(2)用软件的方式设计的系统到硬件系统的转换由有关的开发软件自动完成的(3)设计过程中可用有关软件进行各种仿真(4)系统可现场编程,在线升级(5)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高(6)从以前的“组合设计”专项真正的“自由设计”(7)设计的移植性好,效率高(8)非常适合分工设计,团体协作1.5FPGA和CPLD各包括几个基本组成部分FPGA在结构上主要分为:可编程逻辑单元、可编程输入/输出单元和可编程连线
CPLD在结构上包括:可编程逻辑宏单元、可编程输入/输出单元和可编程内部连线1.6FPGA/CPLD有什么特点?各包含几个基本组成部分?二者在存储逻辑信息方面有什么区别?在实际使用中什么时候选用FPGA?什么时候选用CPLD?答:FPGA在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分;CPLD在结构上主要分为三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线三个部分。FPGA/CPLD的特点:高度集中、高速度、高可靠性对于一个开发项目,主要看开发项目本身的需要,对于普通规模,且生产量不是很大的产品项目,通常使用CPLD比较好。对于大规模的逻辑设计,ASIC设计或单片系统设计,则多采用FPGA,另外,FPGA掉电后将丢失原有的逻辑信息,所以实际中需要为FPGA芯片配置一个专用过的ROM。1.10对于目标器件为FPGA/CPLD的VHDL设计,其工程设计包括几个主要步骤? 答:第一,需要进行“源程序的编辑和编译”-----用一定的逻辑表达手段将设计表达出来;
第二,要紧”逻辑综合”――将用一定的逻辑表达手段表达出来的设计,经过一系列的操作,分解成一系列的基本逻辑电路及对应关系(电路分解);
第三,要进行“目标器件的布线/适配”――在选定的目标器件中建立这些基本逻辑电路及对应关系(逻辑实现);
第四,目标器件的编程/下载――将前面的软件设计经过编程变成具体的设计系统(物理实现); 最后,要进行硬件仿真/硬件测试――验证所设计的系统是否符合设计构想要求,同时,在设计过程中要进行相关的“仿真”――模拟有关设计结果,看是否与设计构想相符。1.11名词解释:逻辑综合、逻辑适配、行为仿真、时序仿真逻辑综合:将电路的高级语言描述(如HDL原理图或状态图的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网标文件。逻辑适配:将由综合器产生的网标文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置,逻辑分割,逻辑优化,布线与操作等,配置与指定的目标器件中,产生最终的下载文件,如JEDEC格式的文件。行为仿真:将VHDL设计源程序直接送到VHDL仿真器中所进行的仿真。功能仿真:将综合后的VHDL网标文件再送到VHDL仿真器中所进行的仿真。 时序仿真:将布线器/适配器所产生的VHDL网标文件送到VHDL仿真器中所进行的仿真。2.4 CPLD的英文全称是什么?CPLD的结构主要由哪几部分组成?每一部分的作用如何?答:(1)Complex Programmable Logic Devices。(2)CPLD主要由可编程I/O单元、基本逻辑单元、布线池和其它辅助功能模块构成。(3)可编程逻辑单元:作用与FPGA的基本I/O口相同,但是CPLD应用范围局限性较大,I/O的性能和复杂度与FPGA相比有一定差距,支撑的I/O标准较少,频率也较低。基本逻辑单元:CPLD中基本逻辑单元是宏单元。所谓宏单元就是由一些与、或阵列加上触发器构成的,其中“与或”阵列完成组合逻辑功能,触发器用以完成时序逻辑。布线池、布线矩阵:通过打结点可以完成不同宏单元的输入与输出项之间的连接。由于CPLD的布线池结构固定,所以CPLD的输入管脚到输出管脚的标准延时固定,被称为Pin To Pin延时,用Tpd表示,Tpd延时反映了CPLD器件可以实现的最高频率,也就清晰地表明了CPLD器件的速度等级。其他辅助功能模块:如JTAG编程模块,一些全局时钟、全局使能、全局复位/置位单元等。2.6 FPGA的英文全称是什么?FPGA的结构主要由哪几个部分组成?每一部分的作用如何?答:(1)Field Programmable Gate Array。(2)FPGA主要由可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入式功能单元和内嵌专用硬核构成。(3)可编程输入/输出单元:通过软件的灵活配置,可适应不同的电器标准与I/O物理特性;可以调整匹配阻抗特性,上下拉电阻;可以调整输出驱动电流的大小等。基本可编程逻辑单元:由查找表(LUT)和寄存器(Register)组成的,查找表完成纯组合逻辑功能。FPGA内部寄存器可配置为带同步/异步复位和置位、时钟使能的触发器,也可以配置成为锁存器,FPGA一般依赖寄存器完成同步时序逻辑设计。嵌入式块RAM:可以配置为单端口RAM、双端口RAM、伪双端口RAM、CAM、FIFD等存储结构。丰富的布线资源:布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。底层嵌入式功能单元:指通用的程度较高的嵌入式功能模块,如PLL、DLL、DSP、CPU等。内嵌专用硬核:指的硬核主要是那些通用性相对较弱的,不是所有FPGA器件都包含硬核。2.10 在FPGA和CPLD的应用开发中应考虑那些因素?答:(1)器件的逻辑资源量的选择(2)芯片速度的选择(3)器件功耗的选择(4)FPGA/CPLD的选择(看开发项目本身的需要)(5)FPGA和CPLD封装的选择(6)其它因素的选择(各家公司产品各不相同)3.2VHDL程序一般包括几个组成部分?每部分的作用是什么?答:三个基本部分组成:库.程序包便用说明、实体描述和实体对应的结构体描述。
库.程序包使用说明用于打开本设计实体将要用到的库.程序包。实体描述用于描述该设计实体与外界的接口信号说明。结构体描述用于描述设计实体内部的组成及内部工作的逻辑关系。 3.5在VHDL中常用的预定义程序包有哪几个?怎样使用这些程序包?答:常用的预定义程序包有四种。STD_LOGIC_1164程序包,STD_LOGIC_ARITH程序包,STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包,STANDARD和TEXTI6程序包。库语言关键词LIBRARY指明所使用的库名,USE语句指明库中的程序包。
使用语句格式:LIBRARY
库名;USE库名.程序包名、项目名、ALL3.6VHDL语言中数据对象有几种?各种数据对象的作用范围如何?各种数据对象的实际物理含义是什么?答:有三种:常量CONSTANT,变量VARIARIE,信号SIGNAL常量:使用范围取决于它被定义的位置;
变量:只能在进程和子程序中使用;
信号:范围是实体结构体与程序包。物理含义:常量:常量的定义和设置主要为了使设计实体中的常数更容易阅读和修改。是恒定不变的值,一旦作了数据类型的赋值定义后,就不能在程序中再改变,因而具有全局意义。变量:是一个局部量,变量不能将信息带出对他做出定义的当前设计单元。赋值是一种理想化的数据传输,是立即发生,不存在任何延时行为。信号:是描述硬件系统的基本数据对象,类似于连接线,作为一种数据容器,不但可以容纳当前值,也可以保持历史值。3.8信号和变量在描述和使用时有哪些主要区别?答:变量具有局部特征,它的有效只局限于所定义的一个进程中,或一个子程序中,它是一个局部的,暂时性数据对象,它的赋值是立即发生的。变量赋值目标 :=赋值源信号具有全局性特征,不但可作一个设计实体内部各单元之间数据传送的载体,而且可通过信号与其他实体进行通信,赋值过程有某种延时,同一信号赋值目标有多个赋值源时,目标获得的是最后一个赋值源的赋值。信号赋值目标&=赋值源3.13VHDL语句有哪几类操作符?在一个表达式中有多种操作符时应按怎样的准则进行运算?下列三个表达式是否有效:1、A&=NOT B AND C OR D ;2、A&=( NOT B AND C) OR D ;3、A&=NOT B AND (C OR D)。答 :算术操作符、关系操作符、逻辑操作符、符号操作符;准则:按优先级从高到低的顺序进行计算;1、 ((A&=(NOT B))AND C)OR D
2、(A&=( (NOT B )AND C) )OR D2、 (A&=(NOT B ))AND( C OR D)
所以不等效3.18VHDL程序设计中的基本语句系列有几种?它们的特点如何?它们各便用在什么场所?它们各自包括些什么基本语句?答:顺序语句与并行语句。顺序语句是相对于并行语言而言,特点是每一条顺序语句的执行顺序是与它们的书写顺序基本一致的,只出现在进程和子程序中。并行语句:每一并列语句内部语句运行方式可有两种不同方式,并行执行方式和序执行方式。顺序语句:赋值语句,转向控制语句,等待语句,子程序调用语句,返回语句,空操作语句。并行语句:进程语句,块语句,并行信号赋值语句,元件例化语句,条件信号赋值语句,生成语句。3.21转向控制语句有几种?它们各用在什么场所?使用它们时特别需要注意什么?(1)IF语句;CASE选择语句;LOOP循环语句;NEXT语句和EXIT语句(2)IF语句是一种条件语句,它根据语句中所设置的一种或多种条件,有选择执行指定的顺序语句
CASE选择语句根据满足的条件直接选择多项顺序语句中的一项执行
LOOP语句就是循环语句,它可以使所包含的一组顺序语句被循环执行,其执行次数可由设定的循环参数决定,循环的方式由NEXT和EXIT语句来控制。(3)注意:1.IF语句中至少有一个条件语句,条件语句必须由布尔表达式构成2.CASE语句中的选择值必须在表达式的取值范围内,除非所有条件语句选择值能完整覆盖CASE语句中表达式的取值。否则最末一个条件中的选择必须用“OTHERS”表示CASE语句中每一条语句的选择只能出现一项,不能有相同选择值的条件语句出现。CASE语句执行中必须选中且只能选中所列语句中的一条 3.LOOP语句中必须有确定的循环次数,且必须要有使循环语句结束的语句
4.NEXT语句是跳向LOOP语句的起始点,EXIT语句则跳向LOOP的终点3.22CASE语句中,在什么情况下可以不要WHEN OTHERS语句?在什么情况下,一定要WHEN OTHERS语句?答:所有条件句中的选择值能完全覆盖CASE语句中表达式的取值,NO否则一定要WHEN OTHERS语句。3.29什么是进程语句?你是如何理解过程语句的并行性和顺序性双重特性?(1)进程实际上是顺序语句描述的一种进程过程,进程是用于描述事件的,process语句结构包含了一个代表实体中部分逻辑行为的独立的顺序语句描述的进程(2)一个结构体中可以有多个并行进程结构,而有一个进程内部结构却是由一系列顺序语句来构成的,即进程语句间是并行执行的进程的内部是顺序执行的3.30进程的启动条件是什么?如果进程有两个基本点敏感变量,其中一个由“0”变“1”,等待一段时间以后再由“1”变“0”;而另一个由“1”变“0”改变一次,请问该进程将执行几遍?答:进程的激活必须由敏感信号表中定义的敏感信号的变化来启动,否则必须由一个显示的WAIT语句来激活。3遍3.32并行信号赋值,语句有几类?比较其异同(1)1.简单信号赋值语句2.条件信号赋值语句3.选择信号赋值语句(2)相同点:赋值目标必须是信号,所有赋值语句的其他并行语句一样在结构体的执行是同时发生的,与它们的书写顺序和是否在连块语句中没有关系,每一个信号赋值语句相当于一条缩写的进程语句而这条语句的所有输入(或输出)信号被隐性的列入此进程的敏感信号表中不同点:1.简单信号赋值目标的数据类型,必须与赋值符号表达式的数据类型一致
2条件信号犹豫条件测试的顺序性第一句具有最高赋值优先级,其次以此类推3.选择信号语句中存放敏感量,不允许有条件重叠现象,也不允许存在条件涵盖不全情况
3.35元件例化语句的作用是什么?元件例化语句包括几个组成部分?各自的语句形式如何?什么叫元件例化中的位置关联和名字关联?答:(1)元件例化就是将预先设计好的设计实体定义为一个元件,然后利用特定的语句将此元件与当前的设计实体中的指定端口相连接,从而为当前设计实体引入一个新的低一级的设计层次。(2)元件例化语句由两部组成,前一部分是将一个现成的设计实体定义为一个元件的语句,第二部分则是此元件与当前设计实体中的连接说明。(3)它们的语句格式如下:-----元件定义语句COMPONENT 例化元件名 ISGENERIC(类属表);PORT(例化元件端口名表);END COMPONENT;-----元件例化语句元件例化名:例化元件名 PORT MAP([例化元件端口名=&]连续实体端口名,...)(4)名字关联是将例化元件的端口名与关联端口名通过关联(连续)符号“=&&一一对应地联系起来的方式;位置关联是按例化元件端口定义顺序将例化元件的对应的连续实体端口名一一列出的方式。3.38一个包集合由那两大部分组成?包集合通常包含哪些内容?程序包首和程序包体组成部分可以是USE语句、子程序定义、子程序体、数据类型说明子类型说明和常类说明3.40什么叫数据流描述方式?它和行为描述方式的主要区别在哪里?用数据流描述方式所编写的VHDL程序是否可以进行逻辑综合?答:(1)数据流描述也称RTL描述,它以类似于寄存器传输级的方式描述数据的传输和变换,以规定设计中的各种寄存器形成为特征,然后再寄存器之间插入组合逻辑。(2)行为描述只表示输入与输出间转换的行为,它不包含任何结构信息,而数据流的描述会伴有大量的有关这种结构信息的“流出”。(3)类似于寄存器传输级的方式描述数据的传输和变换,认为数据是从一个设计流出,从输入到输出的观点称为数据流风格,所以不是所有的VHDL程序都可以进行逻辑综合。3.43什么叫状态机?状态机的基本结构如何?状态机的种类有哪些?答:(1)状态机是一类很重要的时序电路,是许多数字电路的核心部件。(2)状态机的基本结构包括输入信号、输出信号和一组寄存器,它用于记忆状态机的内部庄涛。状态机可认为是组合逻辑和寄存器逻辑的特殊组合。它包括两个主要部分:组合逻辑部分和寄存器部分。寄存器部分用于存储状态机的内部状态;组合逻辑部分又分为状态译码器和输出译码器。状态译码器确实状态机的下一个状态,即确定状态机的激励方程;输出译码器确定状态机的输出,即确定状态机的输出方程。(3)两种典型的状态机是摩尔(MOORE)状态机和米立(MELAY)状态机。包含各类专业文献、各类资格考试、高等教育、应用写作文书、中学教育、幼儿教育、小学教育、EDA简答题答案10等内容。
 原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 2. 综合是 EDA 设计流程的关键步骤, 综合就是把抽象设计层次中的一种表示转化成另一种表示的 ...  EDA试题答案_工学_高等教育_教育专区。EDA试题答案命题人: 课程教研室 班级学号 教研室主任(签字) : 使用专业 考生姓名 系主任签字:电子信息工程 日期: 级 2007...  试述 EDA 软件系统包含那些模块。 (5’) 答:设计输入子模块,设计数据库子...可编程逻辑器件 A 卷试题参考答案及评分标准 一,简答题(每小题 6 分,共 30...  EDA简答题_工学_高等教育_教育专区。1|, 简述什么是硬件描述语言及其优点? 所谓...2015国考申论押密试卷及答案 2015国考面试通关宝典88份文档 2014全国高考状元联手...  EDA试题题库及参考答案_工学_高等教育_教育专区。(20 一、选择题: 20 分) 选择题: ( 1. 大规模可编程器件主要有 FPGA、CPLD 两类,下列对 CPLD 结构与工作...  (BIT_VECTOR)数据类型 字符(CHARACTER)数据类型、整数(INTEGER)数据类型、实数(REAL)数据类型 字符串(STRING)数据类型、时间(TIME)数据类型 1、简叙 EDA 的 FPGA...  2.简述 FPGA 等可编程逻辑器件设计流程 答:FPGA 等可编程逻辑器件的设计流程即现代 EDA 设计的流程,主要包括设计输入、 逻辑与结构综合、时序与功能仿真、编程下载...  EDA简答题 暂无评价|0人阅读|0次下载|举报文档一、填空题(本大题共 10 小题,每空 1 分,共 20 分) 1.一般把 EDA 技术的发展分为 ...  EDA复习题及部分答案_工学_高等教育_教育专区。EDA复习题及部分答案二...RTL寄存器传输 19.EDA电子设计自动化试题一 一、简答题 第 1 题:EDA 的工程...}

我要回帖

更多关于 开源程序 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信