一个八二选一数据选择器vhdl用二选一怎么画

几个2选1数据选择器可以组成8选1... 几個2选1数据选择器可以组成8选1

3个选择控制信号2^3=8,3个控制信号刚好有8种状态

以8选1数据选择器74LS151为例,有A、B、C三个选择控制信号和使能控制端G

你对这个回答的评价是?

下载百度知道APP抢鲜体验

使用百度知道APP,立即抢鲜体验你的手机镜头里或许有别人想知道的答案。

}

我要回帖

更多关于 二选一数据选择器vhdl 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信