Liunx虚拟云主机怎么虚拟机安装liunxManager环境

阿里云主机安装Memcached扩展优化WordPress - 网站设计 - 云知识
文章目录前言
最近发现阿里云主机在使用中,并发访问量稍大,页面加载速度就很慢。于是学习了一些服务器优化的文章,决定安装Memcached,优化页面查询,提高Wordpress的响应速度。这里记录下阿里云主机Centos6.3的Memcached安装的过程。
Memcached 是一个高性能的分布式内存对象缓存系统,用于动态Web应用以减轻数据库负载。它通过在内存中缓存数据和对象来减少读取数据库的次数,从而提供动态、数据库驱动网站的速度。Memcached基于一个存储键/值对的hashmap。其守护进程(daemon)是用C写的,但是客户端可以用任何语言来编写,并通过memcached协议与守护进程通信。但是它并不提供冗余(例如,复制其hashmap条目);当某个服务器停止运行或崩溃了,所有存放在该服务器上的键/值对都将丢失。
Memcached函数库是在PECL(PHP Extension Community Library)中,主要作用是搭建大容量的内存数据的临时存放区域,在分布式环境下的作用体现的非常明显。
本文就详细讲解如何让PHP支持Memcached,以提高大访问量网站的访问速度,本文的PHP和Memcached的安装环境为Centos6.3操作系统。
安装Memcached前的准备工作
(1)首先安装apache、mysql、php,详细参见
[root@Linux ~]#yum install -y httpd-* mysql-server mysql mysql-devel php-*
(2)安装libevent libevent-devel
Memcached需要libevent的支持,详细的内容可以找下关于memcached的原理。
[root@Linux ~]#yum install -y libevent libevent-devel
(3)安装php-devel gcc make
Memcached编译时需要用到php-devel gcc make,需事先安装好
[root@Linux ~]#yum install -y php-devel gcc make
安装memcached
方法一:yum安装简单方便
[root@Linux ~]#yum -y install memcached
方法二:下载安装
到http://memcached.org/下载最新版本的memcached源代码包
[root@Linux ~]#wget
/files/memcached-1.4.13.tar.gz
然后就可以就如安装步骤了
[root@Linux ~]#tar zxvf
memcached-1.4.13.tar.gz
[root@Linux ~]#cd
memcached-1.4.13
[root@Linux ~]#./configure --prefix=/usr/local/memcached
[root@Linux ~]#make
[root@Linux ~]#make install
[root@Linux ~]#ln -s /usr/local/memcached/bin/memcached /usr/local/bin/
启动memcached
[root@Linux ~]#memcached
-d -m 10 -u root -l 127.0.0.1 -p 11211 -c 256 -P /tmp/memcached
参数说明:
-d选项是启动一个守护进程,
-m是分配给Memcached使用的内存数量,单位是MB,这里是10MB,
-u是运行Memcached的用户,我这里是root,
-l是监听的服务器IP地址,如果有多个地址的话,由于是本地开发( 非实际运行环境 )这里指定了服务器的IP地址127.0.0.1,
-p是设置Memcached监听的端口,这里设置了11211,最好是1024以上的端口,
-c选项是最大运行的并发连接数,默认是1024,这里设置了256,按照服务器的负载量来设定,
-P是设置保存Memcached的pid文件,这里是保存在 /tmp/memcached.pid。
memcached -h
应该会输出一些帮助信息
将memcached加入启动列表
chkconfig --level 2345 memcached on
配置Memcached
vi /etc/sysconfig/memcached
文件中内容如下
PORT=”11211″ 端口
USER=”root” 使用的用户名
MAXCONN=”1024″ 同时最大连接数
CACHESIZE=”64″ 使用的内存大小
OPTIONS=”" 附加参数
查看memcached状态
memcached-tool [Memcached Server IP]:[Memcached Server Port] stats
如:memcached-tool 127.0.0.1:11211 stats
检查Memcached是否启动
netstat -ant
出现类似这样的结果 tcp 0 0 88.88.88.88:.0.0:* LISTEN
11211端口已经打开,说明Memcached已正常启动。
=====至此,我们将Memcached服务配置完毕,接着我们配置PHP的扩展,以便在程序中来调用=====
安装Memcached的php扩展
PHP有两种Memcached扩展,老一点的叫memcache,新一点的叫memcached,这里我安装的是memcache。
首先到http://pecl.php.net/package/memcache下载memcached的php扩展最新稳定版本
[root@Linux ~]#wget http://pecl.php.net/get/memcache-2.2.5.tgz
[root@Linux ~]#tar zxvf
memcache-2.2.5.tgz
编译安装:
[root@Linux ~]#cd memcache-2.2.5
[root@Linux ~]#phpize
[root@Linux ~]#./configure --enable-memcache --with-php-config=/usr/bin/php-config --with-zlib-dir
[root@Linux ~]#make
[root@Linux ~]#make install
安装成功后提示:"Installing shared extensions:
/usr/lib64/php/modules/"。
在php.ini文件中添加memcache扩展
[root@Linux ~]#vim /etc/php.ini
添加以下内容
extension_dir = &/usr/lib64/php/modules/&
extension=memcache.so
检验Memcache安装
[root@Linux ~]#php -m
Memcache环境测试
新建一个test.php文件测试PHP的Memcache扩展效果,如果有输出This is a test!,就表示环境搭建成功。
$mem = new M
$mem-&connect(&127.0.0.1&, 11211);
$mem-&set('key', 'This is a test!', 0, 60);
$val = $mem-&get('key');
使Wordpress支持memcached
首先在 http://plugins.trac.wordpress.org/browser/memcached/trunk 目录下载object-cache.php的最新版本,将该文件放在wordpress目录中的wp-content 文件夹内。
一般的说法就是这样子就算启动了 wordpress 的 memcache 插件了,如果没有启动,需要修改这个文件的内容。
确认memcached进程使用的端口,默认的端口是11211,如果你守候了其他的进程(例如:14141),那么需要你在wp-config.php文件中增加如下代码:
global $memcached_
$memcached_servers = array(’default’ =& array(’127.0.0.1:14141′));//这里的14141替换为你设置的端口
这样配置以后 应该就可以支持memcached了 试试是不是访问速度快了许多,这个效果对于访问量大的网站极为明显。
2,916 2,075 1,829 1,728 1,535产品管理|续费
7年优质服务经验
10万用户的共同选择
更低的价格更好的服务
CNNIC四星级认证服务商
拥有正规经营许可证(ICP)
先进的解析技术10分钟生效
6组DNS负载均衡更快更稳定
→ vps/云主机
服务器更换ip地址操作图解
尊敬的用户,您好为了提升服务器网络稳定,不可避免服务器可能会进行ip地址变更,非常抱歉,给您的使用带来不便了。请严格按照下面操作进行ip添加修改,这样可以保证服务器修改ip后,正常使用,流程如下:注意:需要您自行登录您的服务器远程修改IP。&如果改了以后新IP没生效,可以重启一下机器后再试。windows主机修改ip1.登录远程服务器,打开网络属性(桌面上右键网上邻居--属性--右键本地连接--属性),如下2.双击tcp、ip项。或者选中该项,点击属性。将ip地址,子网掩码,默认网关修改成最新ip地址的信息,然后不要点击确定。核实一遍帐号和密码是不是正确,正确无误后,点击确定。这时候会出现一个卡住的假象,不用着急,等1-3分钟就可以使用新的ip登录服务器进行管理了。&完成以上操作,您服务器现在是新ip远程登录服务器,进行管理服务器。请立即着手下面的操作。如果不按照下面操作,因为ip变更了,您的网站将不能正常访问运行。您需要检查您的iis设置的ip是不是新ip地址,并且修正域名解析到新的ip,完成更改ip后的其他后续操作。liunx系统修改ip:远程putty连接服务器登录上去,运行“setup”,如果是中文界面,用方向键选择网络配置。如果是英文或者乱码,选择“Network&configuration”,然后回车,选择当前使用的网卡(一般是eth0开头的),回车,编辑更新ip地址和网关及掩码(一定要保证正确无误),按“tab”键,选中ok,按“tab”键选择“Quit”,再按“tab”键选择“Quit”,然后输入service&network&restart,重启网卡配置,新ip就可以使用了。如果上述方式不行,您可以选择下述方式修改ip地址:1.远程putty连接服务器登录上去,运行“vi&/etc/sysconfig/network-scripts/ifcfg-eth0&”,如下图按一下字母“a”,进行编辑,修改下面的信息为您新的ip信息,NETMASK=“掩码”IPADDR=“新ip地址”GATEWAY=“新网关”修改完成后,按“esc”键,退出编辑,然后输入“:wq”,(注意前面是冒号),保存修改。如果中途修改有错误,请按“esc”键,在输入“:q!”,(注意前面是冒号),取消编辑,重新进行上述操作。然后运行“service&network&restart”,新ip即可生效。如果在操作中有什么疑问,修改后网络不通,可以联系我司在线客服咨询。谢谢您的支持配合。&
阅读:6868 次
【 双击滚屏 】&【
& && 相关文章
&&&&&&&&&&&&&&&&阿里云Linux主机中环境搭建及WDCP安装图文教程_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
阿里云Linux主机中环境搭建及WDCP安装图文教程
上传于||文档简介
&&目​前​使​用​阿​里​云​主​机​的​站​长​不​在​少​数​,​除​了​其​备​案​相​对​复​杂​之​外​,​其​国​内​访​问​速​度​还​算​不​错​的​。​在​此​,​源​码​库​也​将​自​己​在​使​用​主​机​过​程​的​安​装​和​环​境​配​置​做​一​个​详​细​的​介​绍​。​仅​供​新​手​朋​友​参​考​!​如​有​不​当​之​处​,​敬​请​见​谅​!​当​我​们​在​购​买​到​阿​里​云​服​务​器​之​后​,​会​获​得​相​应​的​I​P​地​址​和​管​理​密​码​。​w​i​n​d​o​w​s​对​于​朋​友​们​来​说​较​为​简​单​。​而​L​i​n​u​x​操​作​系​统​因​为​不​被​新​手​朋​友​所​熟​悉​,​因​此​常​常​会​出​现​各​种​问​题​。
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
下载文档到电脑,查找使用更方便
还剩7页未读,继续阅读
你可能喜欢redhat安装synopsys软件(Installer_v3.0、SCL&11.5、vcs_mx_vH-2013.06等)
环境:vmware9虚拟机redhat_server
软件版本:Installer_v3.0、SCL
11.5、vcs_mx_vH-2013.06
1. 目录结构,本人在linux
的用户bluesea根目录下建了/home/bluesea/study_space/synopsys
文件夹,安装文件全部解压在/synopsys/setup-files下(如果遇到权限问题,自主切换为root)。文件结构:
/studu_space/synopsys
|---installer_v3.0
|--- scl_v11.5
|---license
|---setup-files
|--- vcs-mx_vH-2013.06
2. 安装installer,将installer3.0
解压到installer_v3.0 文件夹即可。解压后出现以下文件:
Install_bin、installer、installer_v3.0.tar.Z、install.now、setup.sh
3. 安装SCL
(1)将SCL解压到setup-files/scl_v11.文件夹下,可能生成
scl_v11.5_linux.tar、
scl_v11.5_common.tar、
scl_v11.5_amd64.tar,
再分别解压,生成的是三个文件夹
scl_v11.5_linux、
scl_v11.5_common、
scl_v11.5_amd64,
每个文件夹中会有类似release或者linux的文件夹。
(2)在终端下
/home/bluesea/study_space/synopsys/installer_v3.0
$ ./setup.sh(或者./installer -gui)
注意:这里登陆redhat系统时要选择非root用户,否则提示类似
isn’t a TK application的错误。
出现蓝色安装界面,右下角是“start”,点击进入下一步,会出现填写
ID SITE CONTACT都不用管,下一。。。,
解压所在文件夹,这里的source
为/synopsys/setup-files/scl_v11.5/scl_v11.5_linux
然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,这里的安装路径是/synopsys/scl_v11.5,同样把scl_v11.5_common
文件安装到/synopsys/scl_v11.5,(如果是64bit,同样安装scl_v11.5_amd64到/synopsys/scl_v11.5)。
注意:可能提示没有platform类似依赖错误,可以尝试调换common与linux的安装顺序。
4. 安装VCS
(1)将VCS解压到setup-files/vcs_mx_vH-2013.06文件夹下,可能生成vcs_mx_vH-2013.06_linux.tar、
vcs_mx_vH-2013.06_common.tar、
vcs_mx_vH-2013.06_amd64.tar,
再分别解压,生成的是三个文件夹
vcs_mx_vH-2013.06_linux.tar、
vcs_mx_vH-2013.06_common.tar、
vcs_mx_vH-2013.06_amd64.tar
每个文件夹中会有类似release或者linux的文件夹。
(2)在终端下
/home/bluesea/study_space/synopsys/installer_v3.0
$ ./setup.sh(或者./installer -gui)
解压所在文件夹,这里的source 为/synopsys/setup-files/
vcs_mx_vH-2013.06/ vcs_mx_vH-2013.06_linux
然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,这里的安装路径是/synopsys/
vcs_mx_vH-2013.06,同样把vcs_mx_vH-2013.06_common
文件安装到/synopsys/
vcs_mx_vH-2013.06,(如果是64bit,同样安装vcs_mx_vH-2013.06_amd64到/synopsys/
vcs_mx_vH-2013.06)。
注意:可能提示没有platform类似依赖错误,可以尝试调换common与linux的安装顺序。
(前)Server设置:license文件中的Sever name
同虚拟机的不一样,所以这里先要改虚拟机的hostname,对于redhat来说,首先更改/etc/rc.d/rc.sysinit文件中的hostname到你想要的名字,然后依次是/etc/sysconfig/network,/etc/hosts文件,改完重启一下虚拟机即可。(redhat:#gedit
etc/hosts 在末尾添加代码127.0.0.1
redhat-bluesea)
(一)相信大家参考以上教程都能成功安装synopsys的软件,最搞人的就算那个license了,下面讲讲正确生成license的办法(用虚拟机安装的请参考百度文库里面的破解经验)&关键之处在于你要生成单机版的license的话:运行LicGen.exe选择“select
HostID”选择custom(而不是联机用的ethernet),不要选择“useDaemon”。keygen.exe所使用的hostid一定要保证与LicGen.exe所使用的ID是一致的。而且该hostid要与lmhostid是一致的。lmhostid的查看方法:安装synopsysSCL之后,进入linux/bin目录下,运行lmhostid即可查看。步骤如下(生成license必须在window环境下):
LicGen0.4b文件夹,双击运行LicGen.exe,会弹出一个对话框,点击“open”按钮,找到pack目录下的Synopsys.lpd打开。“select
HostID”选择custom(而不是ethernet),并输入hostID,hostID就是由运行/scl/linux/bin/lmhostid得到的,如000c29d20f52。不要选择“useDaemon”。最后点击“Generate”生成license文件,将生成的license文件保存为synopsys.dat,放在“Synopsys
SSS Feature Keygen”文件夹中.
(2).打开windows的命令提示符CMD。(开始----&运行----&输入cmd)&
& 进入“SynopsysSSS Feature
Keygen”,运行命令“sssverify.exe
synopsys.dat”,此时便生成一串20个字符的SECRET
DATA(如SECRET DATA = 034f 00
2fc0),将这20个字符复制到剪切板,进入下一步.
运行KeyGen.exe,将刚才的20个字符复制到“SECRETDATA框中”,将(1)中得到的hostid填写在hostid框中,点击Generate,此时将会有一个文件叫license.dat的文件生成.
打开license.dat,将Sever开始之后的所有行复制到第一部生成的synopsys.dat文件中,并把synopsys.dat中原有的FEATURESSS全部删除。例如:SERVER
&host_name 000c29d20f52
27000,host_name改为你的主机名字,例如SERVER& redhat-bluesea
000c29d20f52 27000。
(二)成功启动license运行软件
切换到redhat
linux环境下,可以看到刚才在win7下生成的synopsys.dat,把它拷贝到根目录下的/synopsys/license/synopsys.dat
(我的软件都是安装在/usr/synopsys目录下,license在/usr/synopsys/license/目录下,和网上大多数网友的目录设置差不多)
(2).配置环境变量
&安装一个安装包后就可以右键以管理员身份打开位于根目录下的root文件夹(任何修改编辑root和usr目录下的文件都必须以root管理员身份进入),键入ctrl+h,显示.bashrc文件,打开后在文件最后加入:
export PATH="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/gui/dve/bin:"$PATH
export PATH="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/bin:"$PATH
#Design Compiler
#export PATH="/usr/synopsys/dc200809/bin:"$PATH
#export PATH="/usr/synopsys/pt/pt_2011/bin:"$PATH
PATH="/home/bluesea/study_space/synopsys/scl_v11.5/linux/bin:"$PATH
#start synopsys license using lmgrd
alias lmli2="lmgrd -c /home/bluesea/study_space/synopsys/license/synopsys.dat
-l ~/syn_lic.log"
#export SYNOPSYS="/usr/synopsys/dc200809"
export SNPSLMD_LICENSE_FILE=27000@redhat-bluesea
export LM_LICENSE_FILE=/home/bluesea/study_space/synopsys/license/synopsys.dat
export VCS_HOME="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06"
export DVE_HOME="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/gui/dve"
#export PT_HOME="/usr/synopsys/pt/pt_2011"
alias dc="dc_shell"
alias dv="design_vision"
alias vcs="vcs"
alias dve="dve"
alias pt="primetime"
以上为需要各位根据自己的计算机名,hostid,安装目录修改。此处和网上大多数不同的是加入了export
LM_LICENSE_FILE这一行,用来设置license的环境变量,如果不设置,会提示Use
LM_LICENSE_FILE to use a different license file,or contact your
software provider for a license file.Filename:&
&/usr/local/flexlm/licenses/license.dat,当然你也可以根据提示新建目录/usr/local/flexlm/licenses/,把synopsys.dat拷贝在这个目录下并把文件改名为license.dat
启动终端 输入sudo
-i,输入你的密码切换到root权限下,输入:lmstat
-c /home/bluesea/study_space
/synopsys/license/synopsys.dat
有如下提示:
lmstat - Copyright (c)
Macrovision Europe Ltd. and/or
Macrovision Corporation. All Rights Reserved.
Flexible License Manager status on Mon 9/12/
License server status: 27000@ubuntu
& & License file(s) on ubuntu:
/usr/synopsys/license/synopsys.dat:
lmgrd is not running: Cannot connect to license server system.
(-15,570:115 "Operation now in progress")
再输入:lmgrd
-c /home/bluesea/study_space/synopsys/license/synopsys.dat
启动license管理器
结果如下:
15:49:36 (lmgrd) The license server manager (lmgrd) running as
15:49:36 (lmgrd)&
&&&This is a
potential security problem
15:49:36 (lmgrd)&
&&&and is not
recommended.
root@ubuntu:~# 15:49:36 (lmgrd) FLEXnet Licensing (v10.8.5.0 build
31891 i86_re3) started on ubuntu (linux) (9/12/2011)
15:49:36 (lmgrd) Copyright (c)
Macrovision Europe Ltd.
and/or Macrovision Corporation. All Rights Reserved.
15:49:36 (lmgrd) US Patents 5,390,297 and 5,671,412.
15:49:36 (lmgrd) World Wide
15:49:36 (lmgrd) License file(s):
/usr/synopsys/license/synopsys.dat
15:49:36 (lmgrd) lmgrd tcp-port 27000
15:49:36 (lmgrd) The license server manager has found no vendor
daemons to start
15:49:36 (lmgrd)&&(There are no
VENDOR (or DAEMON) lines in the license file),
exiting.再输入:dv&
结果:DC Professional (TM)
&& &DC Expert
&& & DC Ultra
&&&FloorPlan
Manager (TM)
&&&HDL Compiler
Compiler (TM)
&& &Library
Compiler (TM)
&& & DesignWare
Developer (TM)
&&&DFT Compiler
&& & Power
Compiler (TM)
&& & Version
B-2008.09 for linux -- Aug 25, 2008
&&&Copyright (c)
by Synopsys, Inc.
&& & ALL RIGHTS
This software and the associated documentation are confidential
proprietary to Synopsys, Inc. Your use or disclosure of this
is subject to the terms and conditions of a written license
between you, or your company, and Synopsys, Inc.
The above trademark notice does not imply that you are licensed to
all of the listed products. You are licensed to use only those
for which you have lawfully obtained a valid license key.
Initializing...&&然后跳出软件界面,^_^成功了。
再输入:dve&
vcs的图形仿真界面也出来了,其他软件也可以都搞定了吧!
(三)安装过程中其他问题及解决办法(问题5和6安装VCS会遇到)
(1).进入root权限&&sudo
passwd root :修改密码,su :密码
,就进入了root权限了
(2).ubuntu中右键以管理员身份打开,可以删除、修改、新建文件和文件夹
(3).修改计算机名hostname:修改/etc/hostname文件就可以了
(4).Can't make directory
/usr/tmp/.flexlm, errno
(5).启动vcs总是报
Illegal option
-h&解决办法:
修改ubuntu
上的/bin/sh在ubuntu上,/bin/sh默认是链接到/bin/dash的,当你从源代码编译软件的时候,dash可能会导致一些错误,至少我遇到过
类似问题,因此,我把/bin/sh的连接改为了/bin/bash
应该这样做:
rm -f /bin/sh
ln -s /bin/bash /bin/sh
就可以用vcs了.vde也可以启动了
(6).Warning-[LNX_OS_VERUN]
Unsupported Linux version
version '' is not supported on 'i686' officially, assuming
&&compatibility
by default. Set VCS_ARCH_OVERRIDE to linux or SUSE32
&&override.
&&Please refer
to release notes for information on supported
platforms.
&& & Chronologic
&& &Version
D-2009.12 -- Sun Jun 19 21:49:46 2011
&& &Copyright
by Synopsys Inc.
&& & ALL RIGHTS
RESERVED解决办法:
在.bashrc中设置export
VCS_ARCH_OVERRIDE=linux
####################################################
其中还遇到了下述列表一些问题,按照上述方法都解决了,很多都算license没有生成好,虽然sssverify也能通过好像license没问题。我开始也是sssverify什么都看上去可以,用lmgrd启动license,查看lmgrd记录的log文件有:
Serving features for the following
names:snpslmd&&CADABRA&&EPIC&&ISETCADd&&TE_CATS&&adalmd&&anagram&&avantd&&chrysalisd&&everest&&hscd&&innologd&&la_dmon&&leda&&metasoftd&&nassd&&numeritchd&&saber_dmn&&slat&&ssilmd&&synopsysd&&tmald&&vcsd&&
13:39:56 (snpslmd) Checking the
integrity of the license file....
13:39:56 (snpslmd) Valid SSS feature
13:39:56 (snpslmd) The feature is
needed to enable the other keys in your license
file.之类的东东
root@ubuntu:~# Unable to obtain
feature 'Design-Vision ' because:
Error: Software is not licensed for
this machine. (SEC-10)
Unable to obtain feature
'Design-Analyzer ' because:
Error: Software is not licensed for
this machine. (SEC-10)
Fatal: At least one of the following
must be enabled : Design-Vision, Design-Analyzer.
Design Compiler is not
license manager: can't initialize:
Cannot find license file.
The license files (or license server
system network addresses) attempted are
below.&&Use LM_LICENSE_FILE to
use a different license file,
or contact your software provider
for a license file.
Filename:&
&/usr/local/flexlm/licenses/license.dat
path:&&/usr/local/flexlm/licenses/license.dat
license manager can't initialize: No
SERVER lines in license file
####################################################
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。}

我要回帖

更多关于 liunx硬件级虚拟机 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信